Icarus Verilog

ene 16, 2024
Clasificación:
4.8 con 5 voto
Categoría:
DesarrolloIDE
Desarrollador:
Icarus
Tipo de licencia:
Gratuita
Descargas totales:
1,575
Sistema operativo:
Windows XP/XP Professional/Vista/7/8/10/11
Latest version:
10.1.1

Descripción

Icarus Verilog is an excellent tool for anyone looking to write, compile, and debug hardware description language (HDL) code. It is specifically designed to work with the IEEE-1364 standard and is easy to use for both beginners and experienced users. The interface is intuitive and the automated and manual operations help to make the process of creating and modifying HDL code much easier. Additionally, the ability to import and export materials makes it even more convenient. Overall, Icarus Verilog is an excellent choice for anyone looking to work with HDL code.

Nuestra biblioteca de programas le ofrece una descarga gratuita de Icarus Verilog 10.1.1. Las versiones de Icarus Verilog más usadas son 10.1, 0.9 y 0.8. Este programa sin coste fue creado originariamente por Icarus.

La última versión de esta aplicación es compatible con ordenadores con Windows XP/XP Professional/Vista/7/8/10/11 de 32 bits. Icarus Verilog es un programa del tipo Desarrollo. Esta descarga ha sido escaneada por nuestro propio antivirus y ha determinado que está libre de virus.

Del desarrollador:

Icarus Verilog is a work in progress, and since the language standard is not standing still either, it probably always will be. That is as it should be. However, I will make stable releases from time to time, and will endeavor to not retract any features that appear in these stable releases. The quick links above will show the current stable release.

Quizás esté interesado en probar otros programas, como Icarus o Guns of Icarus, que podrían ser similares a Icarus Verilog.

Cómo limpiar el registro
destacado